〖工艺规则DRC/LVS/RCX的Runset开发〗半导体foundry工程师进阶课

EETOP 2023-05-31 11:32

温馨提醒

凡经过EETOP创芯大讲堂培训过的同学,如需工作推荐,请联系微信 ssywtt


课程简介:
该课程既可以帮助foundry新入职工程师学习DRC/LVS/RCX Runset,也可以帮助IC设计公司工程师学习修改和优化DRC/LVS/RCX Runset。

开设Runset课程的讲师要求同时具备4个条件,具有Runset开发经验5年以上;具有DRC/LVS/RCX的EDA软件开发经验5年以上;具有Runset的QA验证经验5年以上;具有与多个foundry合作开发的实战经验。同时满足上述多个条件的国内讲师人数很少,本课程讲师符合上述条件。

适用人群:

微电子及非微电子都可以学习

背景:

目前,国内IC公司对Runset开发和QA有需求的公司主要分为2大类:第一类是Foundry内部的Runset团队,需要招聘合适的工程师进行该项工作。第二类是Fabless设计公司,他们需要对Foundry提供的Runset进行局部修改或者优化,同样需要招聘Runset工程师。

 不过,在招聘过程中,用人单位感觉到要找到合适的Runset工程师并不容易,主要原因是:Runset开发是一个很窄的领域,在高校微电子专业中并不设置这类课程,高校刚毕业的学生很难有该领域的工作经验。同时,在人才提供端,大部分微电子专业的学生更愿意从事IC设计工作,而不愿意从事Runset开发工作。 

由于以上原因:国内Runset开发领域就出现了用人单位找不到合适的应聘人员、高校学生不原因从事该项工作的尴尬状况,如何破解这个难题呢? 

首先分析一下该领域对工程师人数的需求,目前中国大陆大约有20个左右的Foundry厂商,假设每个公司需要10名工程师,则累计需要200多名专职的工程师。除此之外,IC Fabless设计公司仅有少数公司有兼职工程师的需求,即该工程师不仅需要会Runset开发及QA,还要从事IC设计工作。这类公司数目大约有100个,假设每个公司需要1人,则累计也需要100人左右。2类公司合计总人数需求为300名左右。 

 从上述数据可以看到,在人才供给端,一个全国合计仅有几百人需求的领域,任何高校都不会专门设置这样一个专业来进行培训。在人才需求端,用人单位的策略是:既然不能直接找到有经验的工程师,就干脆找应届毕业生,然后公司通过内部培训来逐步提升工程师的水平。 

看上去,这个策略也不错。但是,用人单位内部培训有一个问题:内部内容偏重于实用化操作,比较忽视理论和原理的培训。这个问题在IC设计的其它领域并不突出,原因是:高校的微电子领域课程中有大量的理论和原理的学习,针对IC设计本身的原理,学生在学习期间已经掌握得很好了,到了工作岗位上,他重点是进行实用化工程和学习和应用。而在Runset领域,由于招聘的学生在该领域既没有理论基础,也没有实践经验,他工作后要快速提高自己的水平有一定局限性。 

为了解决上述问题,我们开发了一个专门针对Foundry新入职工程师的Runset培训教材,对Foundry新入职工程师培训。

学员如有需要对应的教材,演示,工具等,可以发邮件至support@microscapes.com.cn 

 通过上述培训,达到如下目标: 

1. 用人单位新招聘的员工,可较快投入项目开发工作,减少内部培训时间。

2. 新招聘员工经过培训后,理论和实践水平较高,今后在解决公司技术难题,提升公司技术水平方面有较大潜力。

扫描下方二维码免费试看或直接购买

购买后可添加微信ssywtt

留言:进群答疑,即可入课程答疑群

每节内容介绍 

导论: 

目前,国内IC公司对Runset开发和QA有需求的公司主要分为2大类:第一类是Foundry内部的Runset团队,需要招聘合适的工程师进行该项工作。第二类是Fabless设计公司,他们需要对Foundry提供的Runset进行局部修改或者优化,同样需要招聘Runset工程师。 

不过,在招聘过程中,用人单位感觉到要找到合适的Runset工程师并不容易,主要原因是:Runset开发是一个很窄的领域,在高校微电子专业中并不设置这类课程,高校刚毕业的学生很难有该领域的工作经验。同时,在人才提供端,大部分微电子专业的学生更愿意从事IC设计工作,而不愿意从事Runset开发工作。

 由于以上原因:国内Runset开发领域就出现了用人单位找不到合适的应聘人员、高校学生不原因从事该项工作的尴尬状况,如何破解这个难题呢? 

 为了解决上述问题,我们开发了一个专门针对Foundry新入职工程师的Runset培训教材,对Foundry新入职工程师培训。 

 通过上述培训,达到如下目标: 

1、用人单位新招聘的员工,可较快投入项目开发工作,减少内部培训时间。

2、新招聘员工经过培训后,理论和实践水平较高,今后在解决公司技术难题,提升公司技术水平方面有较大潜力。 

DRC Runset培训1: 

用来描述工艺规则文件的Design Rule的具体形式是什么?如何把文字和图示结合起来理解,它的显示含义和隐含含义如何分析? 

最小宽度检查为什么默认需要写Singular, Abut < 90的选项,如果不写,会导致什么问题? 

最大宽度检查为什么不能直接写“大于”号?它的常用三种检查方法的优缺点是什么? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

 DRC Runset培训2: 

最大间距检查的“孙悟空跳不出如来佛掌心”是什么含义?为什么通过size step inside of layer可以实现该思路? 

measure all的选项在哪些条件下使用?为什么nwell和deep nwell的间距检查需要用到该选项?还有哪些检查需要用到该选项? 

复合层的距离检查书写需要有哪些注意事项? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

DRC Runset培训3: 

Enclosure检查在哪些条件下需要写outside also? 

End of Line的Enclosure如何实现? 

Extension和Enclosure有什么差别,为什么要定义2个不同的检查单词?Extension的露头刚好等于0如何查错? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

DRC Runset培训4: 

Flatten和hierarchical检查有哪些不同?它的基本原理是什么?投影法和提升法的优缺点是什么? 

RectangleEnclosure的具体含义是什么?如何自动构造testpattern来检查该规则? 

宽金属检查的基本思路是什么?如何通过xcal工具来分析复杂语句的layer依赖关系,如何快速读懂复杂语句? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

 DRC Runset培训5: 

Runlengthspacing的含义是什么?如何实现该语句?如何自动构造test pattern来检查该规则? 

LineEnd spacing的含义是什么?如何实现该语句?如何自动构造test pattern来检查该规则? 

与连接性相关的检查语句如何书写?如何自动构造test pattern来检查该规则? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。

DRC Runset培训6: 

密度检查Density的全局检查和局部检查有何不同?如何实现该语句?如何自动构造test pattern来检查该规则? 

天线检查的具体含义是什么?渐进式连接的用途是什么?有无二极管的条件下,如何检查规则是否满足?如何实现该语句?如何自动构造test pattern来检查该规则? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

DRC Runset培训7: 

复杂器件的DRC规则如何书写?对应的test pattern如何自动构造?sample gds的图形如何准备,可以自动变换device的每个图形之间的距离吗? 

PVS与Calibre的语法有何不同?可以一一对应翻译吗? 

针对先进工艺,离散点的检查如何实现?double pattern的检查如何实现? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

DRC Runset培训8: 

如何通过树形结构图查看DRC Code的layer依赖关系?

如何自动分析DRC Code的原始层是否与testpattern的原始层一致?

如何自动显示DRC Code的每个中间层的计算结果? 

DRC Runset培训9: 

什么是DRC检查的条件参数?

如何构造test pattern来检查条件参数?

Scout工具如何自动生成条件参数的testpattern?

Density Box, Density Diff的含义?

圆形图形和圆环形图形如何自动生成test pattern? 

LVS Runset培训1:

Design rule如何描述LVS器件,如何理解其含义? 

版图中的连接关系是如何建立的?connect语句的屏蔽效应如何理解?MIM结构中的Via如何通过屏蔽效应体现连接? 

Mos管的识别层是什么含义?Pin层是什么含义?Property如何计算? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

LVS Runset培训2:

Attach语句如何书写,如何验证其正确性? 

Mos管的nrd, nrs, sa, sb, sc, sca, scb, scc等参数如何书写?如何验证这些参数是否准确? 

如何通过前后仿真来验证LVS runset的书写正确性? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。

LVS Runset培训3:

自定义器件和内置器件有何不同,如何书写自定义器件,如何验证其正确性? 

LAYER QA的含义是什么?为什么要验证器件的识别层是否满足了must layer和non layer的定义?如何通过自动工具验证? 

LVS 检查中的ERC主要检查哪些内容,如何自动检查? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

LVS Runset培训4:

当采用LVS_CHECK选项时,由于MOS管只有W, L的参数,在底层的hcell单元中就可以计算得到W,L的参数,不需要把该mos管提升到上层单元中。

如果选择了RC_CHECK,由于MOS管计算SA, SB, SC等需要用到nwell, nsd,psd等更多的layer图形,这些图形在底层的hcell中并不是完整的,需要把mos管提升到上层cell中才可以提取正确。 

LVS Runset培训5:

如果没有pcell库,该如何对LVS Runset进行验证?

如何在scout工具中设置LVS器件的模板,自动变化尺寸

如何在scout工具中自动生成gds和cdl的test pattern,并自动验证其正确性?

针对任意器件类型的LVS验证。 

RCX Runset培训1:

寄生参数提取的三维和准三维基本原理是什么?为什么准三维的精度有误差? 

如何描述工艺的cross view的截面图?

3种主流寄生参数提取工具的工艺描述格式介绍。 

如何运行3种主流寄生参数提取工具? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

RCX Runset培训2:

如何验证寄生参数提取的Runset是否准确? 

Beol的test pattern是什么结构?组合参数有哪些?精度如何比较? 

Meol的test pattern包含了哪些器件?如何通过pcell自动生成这些pattern? 如何分析忽略内部电容和不忽略内容电容的结果? 

如何通过自动化的软件进行寄生参数精度分析? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

RCX Runset培训3:

一个典型的模拟电路例子,通过不同的准三维工具和三维工具进行提取,误差达到了30%,该如何分析这些误差? 

如何避免由于LVS layer的图形overlap定义导致的寄生参数重复提取的问题? 

Conformal结构描述犯错的一个典型用例。 

由于没有忽略器件内部寄生电容导致结果不准确的典型用例。 

5个corner中,RCbest,  RCworst的具体含义。 

先进工艺中11个corner中的CCworst, CCbest的具体含义。 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

RCX Runset培训4:

如何在版图中直观看到每个寄生参数线网的所有图形? 

如何通过图形直接看到它对应的寄生参数?

如何分析版图中距离比较近的图形的预估耦合电容是否与提取结果吻合? 

Vgds工具如何自动实现上述功能?如何使用该工具的上述功能? 

本课程提供了与培训内容相对应的运行实例,学员可以联系课程最后一页的邮箱来下载用例。 

RCX Runset培训5:

什么是3D IC, 什么是TSV?  晶圆堆叠的典型应用图示。 

主流工具在针对TSV寄生参数提取时的3个弱点,如何克服? 

单个TSV的寄生电容如何考虑耗尽层导致的不同电压下电容值不同? 

多个TSV的寄生电容如何快速通过场求解器计算? 

多个晶圆提取出的网表如何自动合并?什么是基于线网名的合并?什么是基于晶体管提取的坐标合并?二者应用背景是什么? 

针对多个晶圆堆叠的典型计算案例分析。 

三维堆叠寄生参数提取全流程总结,解决了主流EDA工具不能解决的弱点,实现了国产EDA工具自主可控。 

RCX Runset培训6:

RCX Runset验证中为什么要引入RC simplify的概念?

RC Simplify针对寄生电阻短路,寄生电容开路的方法。

图形化工具plumb运行的方法和检查结果分析。 

RCX Runset培训7:

一般情况下,采用RC模式是一个折衷的模式,既可以满足精度需求,又能满足效率要求。

如果想进一步优化精度或者效率,则通过具体指定线网名来选择不同线网的提取模式,从而更准确地控制每个节点的提取精度和效率。 

RCX Runset培训8:

如何对Via的寄生电容进行自动验证?

当Via的尺寸不同,电阻率不同时,如何验证其准确性?

如何实现层次化提取的自动验证? 

DFM Runset培训1:

DFM命令的用途是什么?为什么引入DFM命令,它与普通命令的不同在哪里?

DFM Property命令的语法及基本含义,单层命令和双层命令的不同在哪里? 

DFM Runset培训2:

DFM命令常用的测量函数有哪些?

EC和EW的函数用法是什么?

NETID函数的用法是什么?

NETPROPERTY函数的用法是什么?

DFM Stamp的用法是什么? 

DFM Runset培训3:

DFM Space与普通的INT/EXT/ENC检查有哪些不同?

DFM的ECMAX的用法是什么?

如何利用DFM命令查中心线对齐的检查?

如何利用DFM命令计算有源区的累加面积? 

DFM Runset培训4:

DFM Space与普通的INT/EXT/ENC检查有哪些不同?

DFM的ECMAX的用法是什么?

如何利用DFM命令查中心线对齐的检查?

如何利用DFM命令计算有源区的累加面积? 

Pcell  QA培训:

Pcell的验证主要检查哪些内容?

如何自动获得pcell的cdf参数的最大值和最小值?

如何进行Full Parameter的检查?

如何进行Connectivity的检查?

如何进行Layer QA的检查?

如何进行Simulation QA的检查? 

Latch up Rule 规则:

常见的Latch up Rule的几何结构。

阻挡检查或者隔离检查为什么比较难以书写Runset?

为什么需要引入Find Closest Region的命令来书写阻挡检查?

正对的阻挡和斜对阻挡分别如何检查?

如何实现Latch up Rule的完整检查? 

Latch up Rule 规则2:

如何用主流工具的DFM Space实现Latch up Rule?

它需要用到多条组合命令,有哪些隐患?

如何克服组合命令的隐患? 

DPW计算Wafer中可以放置多少个die:

Wafer中计算die个数的参数有哪些?

如何模拟foundry的工艺加工参数得到die个数?

DPW工具的基本功能有哪些?

采用拟合方法计算案例分析。 

Memory Compiler如何定制开发

开发一个独立的memory compiler需要用到哪些步骤?

Gds的自动拼接脚本如何书写?

Cdl的自动拼接脚本如何书写?

如何对memory自动做仿真?

Memory Compiler的开发端和客户端工具如何生成?

扫描下方二维码免费试看或直接购买

购买后可添加微信ssywtt

留言:进群答疑,即可入课程答疑群

关于创芯大讲堂

创芯大讲堂是EETOP旗下在线教育平台

创芯大讲堂桌面版地址:http://edu.eetop.cn

点击阅读原文获得更多好课

EETOP EETOP半导体社区-国内知名的半导体行业媒体、半导体论坛、IC论坛、集成电路论坛、电子工程师博客、工程师BBS。
评论 (0)
  • 来源:虹科汽车电子 虹科技术 | PCAN View功能细讲:从实时监测到错误帧分析原文链接:https://mp.weixin.qq.com/s/yOonZ5NqSCnKjURr9hNC6A欢迎关注虹科,为您提供最新资讯!#PCAN #CAN总线 #工业通讯导读相信使用过PCAN工具的朋友都知道虹科PCAN-View这款免费软件,它具有直观的用户界面,可以实时监测和分析CAN总线上的数据帧,并提供过滤、发送和报文记录。但你知道吗?它其实不只是简单收发报文,虹科PCAN-View还能自动检测和报
    虹科电子科技 2024-03-18 15:48 106浏览
  • 1. 整流桥功能介绍整流桥在电子领域中扮演着至关重要的角色,为各种电子设备和电路提供了稳定的电源。整流桥的主要作用是将交流电信号转换为直流电信号。当交流电信号通过整流桥时,它会使得只有一个方向的电流能够通过,从而实现了将交流电信号转换为单向的直流电信号。在一些手持设备需要小巧轻便的整流桥来将交流电转换为直流电。医疗器械:一些便携式医疗器械或医疗监测设备,由于需要经常携带和移动,通常采用小体积的整流桥设计。智能家居产品:如智能插座、智能灯具等,由于需要集成在家居环境中,因此需要小体积的整流桥来满足
    上海雷卯电子 2024-03-16 14:07 122浏览
  • 安装Ubuntu后,可能会影响到Windows 10的启动方式,特别是在使用双系统引导时。这可能是导致您无法通过按F8进入安全模式的原因。以下是一些可能的原因和解决方法:启动管理器更改:安装Ubuntu后,GRUB或其他启动管理器可能成为默认的启动引导程序。这些启动管理器可能不会像Windows那样响应F8键进入安全模式的指令。快速启动功能:Windows 10的“快速启动”功能可能会影响您进入安全模式的能力。当启用快速启动时,系统会跳过某些启动步骤,这可能会阻止您通过F8进入安全模式。系统文件
    丙丁先生 2024-03-19 08:54 52浏览
  • 汽车氛围灯,顾名思义,是烘托车内氛围的照明灯,是汽车内饰情感化设计的一种体现。 一般有暖色(红色等)和冷色系(蓝色、紫色等)两种,在夜晚开启后绚丽浪漫,可营造车内情调,使得旅途并不是那么的枯燥无味,让人们拥有独特的驾驶体验。关于汽车氛围灯浪涌保护上海雷卯EMC小哥分析难点有以下几个方面:1. 复杂的电气环境:汽车的电气系统较为复杂,存在各种干扰源,如点火系统、电机、电子设备等,这可能会对氛围灯的浪涌保护造成挑战。2. 电压波动:汽车运行过程中,电源电压可能会出现较大的波动,例如启动引擎或电器设备
    上海雷卯电子 2024-03-16 13:51 96浏览
  • 导读:网关设备是确保数据流畅通信的关键。虹科PCAN系列网关凭借卓越性能和创新技术,为众多应用提供了高效稳定的解决方案。本文将深入探讨虹科PCAN系列网关内部存储空间,特别是EEPROM和SPI Flash的配置与利用,并解析如何通过C编程实现快速实时的信号存读。通过虹科PCAN-Router FD的实例,我们将展示这些存储空间如何助力网关设备在复杂环境中保持卓越性能。一、网关内部存储空间概览网关的内部存储空间经过精心设计,旨在满足快速、稳定的数据处理需求。除了常规的RAM外,虹科PCAN系列网
    虹科汽车智能互联 2024-03-18 13:56 102浏览
  • 艾迈斯欧司朗全新“样片申请”小程序,逾160种LED、传感器、多芯片组合等产品样片一触即达。轻松3步完成申请,境内免费包邮到家!本期推荐艾迈斯欧司朗首款支持UV-A辐射、环境光闪烁检测功能的微型环境光传感器TSL2585。TSL2585尺寸小巧,采用L2.0mm xW1.0mm xH0.35mm 6引脚OLGA超薄封装,非常适用于可穿戴设备以及手机等这类产品尺寸和厚度受到限制的应用。基于先进的干涉滤光技术,TSL2585的每一个感光像素上都精确沉积了特定光学设计的滤光膜,整体约5µm厚,由60多
    艾迈斯欧司朗 2024-03-18 18:35 105浏览
  • 电脑上的很多东西都是赚钱的手段,知道了就轻松自在。如果你在Win10、11等安装应用程序,出现:应用程序无法启动,因为应用程序的并行配置不正确。有关详细信息,请参阅应用程序事件日志,或使用命令行sxstrace.exe工具。你各种删除再安装,清理注册表,各种残留清理,各种模块开关设置、甚至重装系统,都不行,你可以考虑安装一下:通过微软网站:Microsoft visual C++ 2005Microsoft visual C++ 2008因为微软自带系统没有这两个基础程序,旧的应用程序多数要用的
    老黄姓黄 2024-03-17 15:59 189浏览
  • 总结:科普量子计算机的水书。至少第一章是在科普量子计算机。唯一有点用的就是我上面这一张照片里面的笔记。上面说了为什么量子计算机会比传统计算机运算速度快的原因:因为传统计算机一位只能有一个数字,但是量子计算机可以0和1叠加起来显示。这样就造成了传统计算机需要运行很多遍的东西,而量子计算机不管多少多难都只用计算一次。(前提是量子比特位够长)---------------------------------------------------------------------------------
    youyeye 2024-03-17 17:04 146浏览
  • 导读:相信使用过PCAN工具的朋友都知道虹科PCAN-View这款免费软件,它具有直观的用户界面,可以实时监测和分析CAN总线上的数据帧,并提供过滤、发送和报文记录。但你知道吗?它其实不只是简单收发报文,虹科PCAN-View还能自动检测和报告CAN总线上的错误帧,帮助用户快速发现和解决通信问题。无论是在汽车、工业自动化还是嵌入式系统领域, 虹科PCAN-View都是一个功能丰富且广泛应用的工具,为用户优化CAN总线系统的性能和提升通信的可靠性提供了极大的帮助。本文带你一起走进这些功能细节,深入
    虹科汽车智能互联 2024-03-18 13:54 120浏览
  • RD-03E_V1.0的使用手册包含设备的基本功能、硬件规格、软件配置和安装条件等详细信息。以下是一些可能包含在手册中的关键信息:基本功能:介绍RD-03E的主要功能和技术特点,例如精准测距或手势识别能力。硬件规格:提供RD-03E模块的详细硬件参数,包括尺寸、接口类型、电气特性等。软件配置:说明如何进行软件设置,包括固件升级、参数调整等操作指南。安装条件:描述模块的工作环境要求,如温度范围、湿度限制等。烧录说明:指导如何使用支持的工具(如keil 5 IDE)和烧录器(如CMSIS-DAP、J
    丙丁先生 2024-03-16 12:54 82浏览
  • 本书涵盖了边缘计算的各个方面,通过这本书可以对边缘计算有比较全面的认识。书中从边缘计算的发展历史到边缘计算的硬件,存储,通信,安全性,架构,数据处理,开源框架等方面概括性的介绍了边缘计算各个方面涉及的内容。由于本人是通信运营商的一线网络员工,所以对书中的通信内容比较感兴趣,大致介绍一下书中相关内容。翻译搜索复制5G的发展无疑促进了边缘计算的应用,5G设计之初其实就是为万物互联而生的,只不过现阶段的大部分的用户只能感受到其中的一个业务场景——eMBB,eMBB为增强移动宽带,对应的是要求高速,大带
    lospring3 2024-03-16 11:10 117浏览
  • 直流电机是现代社会中无处不在的动力装置,但它们也不是永远运转的机器。让我们一起来了解一下,为什么直流电机会失效,以及我们可以采取哪些措施来延长它们的寿命。  1. 人为因素的影响: 操作失误是直流电机失效的一个重要因素。粗暴地操控电机,比如不小心让它掉落或者使用不当,都可能导致内部零件的损坏,从而使电机无法正常工作。此外,静电放电也是一个需要重视的问题,特别是对于无刷电机而言。静电放电可能会损坏电机内部的传感器,进而影响其控制与反馈系统。 2. 环境因素的考量: 环境条件对直流电
    艾迈斯电子 2024-03-16 11:29 82浏览
  • 使美国在21世纪保持安全,美国防部发布最新投资战略,12项领先发展科技,两项是传感器技术!近日(3月8日),美国国防部官网公布了《2024财年投资战略》(INVESTMENT STRATEGY FOR THE OFFICE OF STRATEGIC CAPITAL),确定了战略资本办公室(OSC)重点关注的优先关键组件技术行业投资战略,这是美国最新重量级投资计划。2022 年 12 月,美国国防部长劳埃德·奥斯汀三世 (Lloyd J. Austin III) 启动了战略资本办公室 (OSC),
    传感器专家网 2024-03-18 19:31 69浏览
我要评论
0
0
点击右上角,分享到朋友圈 我知道啦
请使用浏览器分享功能 我知道啦